新闻中心

首页 > 新闻中心> 行业新闻

未来 75 年的半导体器件和晶体管

发布时间:2023-02-23发布人:

                                                    未来 75 年的半导体器件和晶体管



芯片行业正在进入一个前所未有的创新和增长时代。

在 IEDM 上,晶体管发明 75 周年的里程碑引发了关于 CMOS 的未来、III-V和二维材料在未来晶体管中的作用以及下一个伟大的存储器架构的讨论。来自内存、逻辑电路和研究社区的行业资深人士将High-NA EUV 生产、具有 1,000 层的 NAND 闪存和混合键合视为推动因素。混合键合将用于组合器件以及堆叠互补 FET (CFET) 中的不同材料。

关于 DRAM 技术的扩展,SK 海力士 Solidigm 部门技术顾问、SK 海力士前首席执行官 Seok-Hee Lee 表示:“下一代 DRAM 可能会采用新配置,即电容器横向延伸的 3D 设计。现在很多人都在研究它,因为可以放松电容器的限制,可以在水平方向上生成它。仍然存在很多挑战,但通过在未来五年非常努力地工作,你会看到某种形式的 3D DRAM。”


本次讨论的成员还包括:Lam Research 全球新兴存储器总经理 Gosia Jurczak;英特尔高级研究员 Tahir Ghani;TEL 研发副总裁兼光刻图案研究员 Anton DeVilliers;imec半导体研发高级副总裁Serge Biesemans;NIST 纳米级器件表征部门负责人 David Gundlach;美光高级和新兴存储器副总裁 Nirmal Ramaswamy;和 IBM 研究员 Heike Riel。(小组成员是以行业资深人士的身份发言,不代表他们各自的公司,以下是该讨论的摘录。)


 硅仍然占主导地位

半导体行业正在不断探索新材料,但在可预见的未来,硅 CMOS 可能会保持强势。“硅通道是我们在晶体管中拥有的完善的材料系统,实际上很难替代它,”Lam 的 Jurczak 说。“回到 20 年前,当时硅锗沟道首次发布,在长沟道晶体管中具有非常明显的迁移率优势。然而,我们不得不等到 20 年才能在产品中看到硅锗通道。另一个例子是 III-V 族材料。同样,我们已经看到了电子迁移率的巨大优势,它实际上是 NMOS 晶体管的一个非常好的候选者。五年后,在发表了大量关于 III-V 材料研究的论文后,尽管存在一些局限性,但硅 CMOS 仍然是最容易理解和最成熟的材料。Jurczak 说:“有了这段历史,当我审视 2D 材料的候选者时,我怀疑我们将如何在未来 10 到 20 年内做到这一点。” “我们今天在移动性方面看到的是,它实际上没有达到硅材料的水平。”


尽管如此,人们对堆叠 2D 材料和机动性可能会增加持乐观态度。“这确实是一个很好的选择,”IBM 的 Riel 说。“使用纳米片,你会看到堆叠的效果,并且它在环栅方面取得了根本性的进步。半导体行业擅长识别挑战,然后应对挑战。20 多年前,我们就开始使用环绕式门,现在它就在这里。”


“用于通道的二维材料制成的设备需要堆叠,”imec 的 Biesemans 说。“很难想象像我们在平面或 finFET 技术中那样并排放置 nMOS-pMOS-nMOS-pMOS-…二维材料器件应该出现在堆叠的 nMOS 和 pMOS 层中。要创建该路径,首先应该使用堆叠硅,然后更换通道材料。”他补充说,随着混合键合工艺的成熟,它们将变得更加商品化,最终允许在晶体管级别实施。


“与非堆叠选项相比,堆叠将能够组合具有不同原子长度和不同基板的材料,”英特尔的Ghani说。


 DRAM、NAND的局限性

TEL的 DeVilliers 指出,堆叠和混合键合远非微不足道。“存储行业向我们展示了如何堆叠,堆叠起来并不难,难的是用它来堆叠和赚钱。从工具方面可以学到很多关于 3D NAND 堆叠的知识。”


从设备需求转向互连需求,Jurczak 指出需要更低的热预算和替代材料。小组成员讨论了背面电源的最新趋势。随着尺寸接近几纳米,互连前端过孔变得越来越困难,特别是图案化、覆盖和打开所有过孔。


与此同时,NIST 的 Gundlach 谈到了保持计量学精度和准确性的必要性。“我们在更大范围内更好地解决问题的能力变得非常重要,”他说。“虽然材料的 ppm 纯度在某一时刻可能就足够了,但也许我们正在转向 ppb,这需要在整个供应链和产品生命周期中对标准测量服务进行创新。”


美光的 Ramaswamy 专注于 DRAM 设备的可扩展性。“DRAM缩放由几块组成,我们可以选择有基本限制的那一块。许多功能都在 10 纳米以下,触点甚至更小。我们可以谈论十分之几纳米,或几个掺杂原子。但通常情况下,DRAM 总是与电容器有关,纵横比约为 50:1 并不断增加,介电常数为 40 以上。我们可以在不泄漏太多的情况下达到 50 吗?如果我必须选择,我会说是电容器。”


SK 海力士的 Lee 对此表示赞同。“对于具有几何缩放的 1T-1C 结构,电容器始终是一个挑战。如果您查看介电材料,您会记得导带偏移与介电常数的关系。你有这种关系。所以是的,您可以找到一种不同于氧化锆的材料,但这样您的导带偏移就会减少,因此漏电流就不再是一个问题。但这总是一个变化,从根本上说,如果我必须选择一个,电容器就是限制器。”


IEDM 总是有涵盖各种替代内存架构的特色论文。高速缓存是一个特别热门的领域。但专家们被问及是否有任何技术可以取代根深蒂固的 NAND 和 DRAM 设备。“DRAM 和NAND 非常强大,很难被击败,”Jurczak 说。“所以 DRAM 的未来仍是 DRAM,但新兴内存可能会填补一些空白。”


混合键合的堆叠能力可能为 DRAM 提供新的用途。“凭借先进的封装技术,一些公司已经在 CPU 上堆叠 SRAM,”Lee 说。“但是在3层之后,我们可以有4层,一个额外的缓存层吗?根据工作负载,您可能会从这个额外的缓存层中获益。”


DRAM 可以满足这种需求。“新兴存储器存在耐久性问题,但不一定是非易失性存储器,业界已经具备大规模生产DRAM并使用先进封装连接它的能力,”Lee说。英特尔的代表表示同意,他说,“这无疑开辟了一系列以前没有的可能性,尽管是片外的,但先进的封装可实现低片外延迟和高带宽。”


另一个讨论主题是转向近内存或内存计算,特别是缩短内存和处理之间距离的投资回报。“如果我们看看移动计算,我们平均会丢失大约 15% 的能量移动数据,”美光的 Ramaswamy 说。“因此,对于可持续性而言,能效非常重要。这是一个自然的过程。它会发生的。”但什么时候是另一回事。Ramaswamy 指出,架构师和程序员需要聚在一起展示近内存/内存计算将如何工作。他说这需要时间来解决。


 EUV 可扩展性

EUV 光刻在 16/14nm 是可选的,但在 7nm 及以下被认为是必不可少的。领先的代工厂商,三星、英特尔、台积电,正在展望High-NA (0.55) EUV 及更高版本。最大的问题是之后会发生什么?是无掩模图案化还是某种形式的自组装?


“2025年,High-NA EUV 将投入生产,”英特尔的Ghani说。“即使在未来使用更高 NA 的 EUV 工具,我们也可能不得不采用间距加倍或四重图案化方案,以实现持续的尺寸缩放。但我认为在未来六到八年内不会出现根本性的阻碍。”


计量学看起来更像是推进到 1nm 节点及以下节点的障碍,尤其是随着 3D 结构数量的增加。“计量科学没有跟上 EUV 的步伐,”NIST 的 Gundlach 说。“您能否在使用 EUV 的大容量环境中进行测量,或者我们是否处于无法看到我们正在制作的东西的极限?那里有很多机会。”自组装似乎不会与现有的图案化方法竞争,并且多种方法可以一起使用并用于不同的金属层。


 1,000 层 NAND

从 200+ 层到 1,000 层 NAND 的过渡正在进行中,但这将需要新材料、NAND 架构和改进的资本设备来提高吞吐量。


美光的 Ramaswamy 说:“我们现在有 232 层,我们可能会在本世纪末达到 1,000 层。” “我们有很多非常关键的蚀刻、沉积和填充工艺。我们需要一个设备路线图来跟上技术扩展的步伐。现在我们没有能力制造 1,000 层。所有流程都需要具有成本效益,并具有适当的公差。”Lee同意这一观点。“我们必须扩大堆栈,因为你不能一直增加层数。”


 量子计算增强HPC

未来如何使用量子计算是另一个很大的未知数。


IBM 的 Riel 说:“量子计算并不是要取代一般的 CMOS 晶体管。”这不是量子计算的本意。但量子在这里是为了解决经典数字计算机永远无法解决的数学问题。有很多例子,但我们几乎忘记了它们,因为我们已经学到了很多近似值。经典计算机在某些情况下它们运作良好,但在其他情况下则不太好。当我们仔细观察时,我们发现量子计算将有助于解决这些问题。”


量子比特的生成和相干性一直在稳步提高。“大约三周前,第一款 433 量子位的处理器发布了,我们有明确的目标来提高速度、规模和质量方面的性能,”Riel 说。“我们从硅行业了解到,你需要一个清晰的路线图,所以我非常乐观。我们正处于新事物的开端,它不是要取代晶体管,而是要增强它。”


对于量子计算,这是一个漫长的过程。NIST 的 Gundlach 指出,Julius Edgar Lilienfeld 早在 1925 年就构想出了固态放大器,为量子设备奠定了基础。“回想一下 Lilienfeld 的专利是几十年前的事了,所以这个行业非常擅长拥有长远的眼光并能够实现。”


 可持续发展与人才齐头并进

芯片行业的两个热门话题是吸引人才和提高可持续发展能力,并且两者相互交织。小组成员一致认为,年轻人非常关心地球的健康,因此招聘工作需要更好地宣传他们在全球半导体领域工作可以产生的环境影响。


“我们正在进行一项有趣的实验,韩国的大型工具公司制定了一项特殊计划来保证大学毕业生的就业,”SK 海力士的 Lee 表示,并指出需要采用新颖的方法。“如果你是一个教授,你就会有强烈的意见,因为你使命不仅仅是找工作。可持续性是一个巨大的话题,零排放。作为一家半制造公司,我们有很多事情要做。关于化学品和气体,我们仍在使用温室气体,并与材料供应商合作以替代它们。半导体设备消耗大量电力,我们不得不使用可再生能源。为此,一家公司如果不与很多不同的各方合作就无法做到这一点,而且许多公司已经签约,所以它将会发生。”


尽管如此,这仍然是一个巨大的挑战,尤其是对于晶圆厂中使用的某些化学品和气体而言。“问题是,如果我们不能替代气体,我们能否提高减排系统的效率?不幸的是,这通常意味着更多的电力,我们必须优化整个系统。”Jurczak 说。


美光的 Ramaswamy 谈到了 ESG(环境、社会和治理)中围绕用水、危险废物产生和处置的三大支柱。“我们在可持续性方面设定了非常强大的目标,尤其是废水回收,再利用高达 75% 至 90%,并将危险废物填埋至零。获得完全可再生能源也是一件大事。”


在某些方面,这变得越来越容易。“随着时间的推移,意识一直在提高,但我们需要以最有效的方式采取行动,”IBM 的 Riel 说。“但是有些事情,比如节约能源,可以马上完成。对于半导体劳动力,Jurczak 强调了半导体所激发的技术热情。“当我问我的同事们为什么还在这个行业时,他们给出的最重要的原因是热情。”


 结论

芯片行业正在进入一个前所未有的创新和增长时代,从设备研发到新材料和更紧密的集成。向先进封装的转变以及随之而来的所有挑战将需要新的合作水平,以抵消摩尔定律的逐渐减弱以及芯片设计和制造成本的上升。


一项调查显示,人才壁垒和成本壁垒是半导体持续进步的最大障碍,远高于感知的性能、功率和内存墙。但这些门槛只是暂时的,配备了大量新的使能技术和材料的聪明人可能会打破所有这些门槛,围绕它们设计新的方法。


声明:本文由半导体材料与工艺转载,仅为了传达一种观点,并不代表对该观点的赞同或支持,若有侵权请联系小编,我们将及时处理,谢谢。




转载微信公众号:半导体材料与工艺

声明:本文版权归原作者所有,转发仅为更大范围传播,若有异议请联系我们修改或删除:zhangkai@cgbtek.com